2010-04-14

Baby's Birthday

Today, 14/4 is my baby's one year old..pity of it for having me as its owner. I did take care of it well, protect it from being violate by others, avoid it from being graffiti, save it from wind and rain and storm....gegegge

Starting from last week, I was damn damn busy..until now..endless..will continue till next friday.
2 hardware... almost done one of it, what a tough assignment! PLL + divider = frequency synthesizer..
design schematic, figure out which component to use, this is totally out of my ability!! :'(
but fortunately weng fai designed it by referring to yen chee's 1.
and another fortunate, a helpful and kind person, helped us a lot! designing pcb, doing analysis from bread board, spent time with us in the lab from time to time. Oh, this is really so thankful that we have him..he did really willing to help..from his heart. how to find this kind of friend yah!
Presentation today not bad, just need to add on application..okay. help again~ haha

gonna start for next hardware...embedded system, home security system, think i'll be getting pressure again. my ehad was extremely pain yesterday! like kind of wanna explode. hope life will be better after this.
I miss home...wanna go back but cant. want to ask baba and mama come here next week. yeah!! waiting for u~~~

0 comments:

Post a Comment